sequence - systemverilog static class member cannot be accessed via the class scope resolution operator -
in t1 extended uvm_test class i'd use xxx_write method sequence class xxx_io in xxx_pkg.
i've imported * package t1 class, , i've made method static still receive same error compiler:
ncvlog: *e,illcss (/ddd/test.sv,82|29): non static class member cannot accessed via class scope resolution operator '::'. xxx_pkg::xxx_io::xxx_write(12'h000, 32'h11ceb00c);
since error message did not change after added static key word xxx_write method not surprise me if error elsewhere. code 'works' if not try call method t1 class.
package xxx_pkg class xxx_io extends uvm_sequence #(xxx_seq_item); static task xxx_write( bit [11:0] i_addr, integer i_wdata ); // snip other code endtask endclass: xxx_io endpackage: xxx_pkg class t1 extends uvm_test import xxx_pkg::* class test1 extends uvm_sequence #(xxx_seq_item); task body ; xxx_pkg::xxx_io::xxx_write(12'h000, 32'h11ceb00c); // snip other code endtask endclass : t1
from greg: create instance of sequence in test call method instance